Hi Andrew, I loaded the code and set the bindkey but it always triggers unmarknetAll, I put a print statement inside else right after hiGetCurrentWindow()~>markedNets = t to print the value of hiGetCurrentWindow()~>markedNets , and found that it always returns nil. Also it never enters the if statement and only enters the else statement. The code wont work because it will never evaluate hiGetCurrentWindow()~>markedNets to true even if i manually set it to true. I wonder if there is a way to find the previous cmd used so I can check if the previous cmd is leHiMarkNet() or leHiUnmarkNet() then i will set the current cmd to leHiUnmarkNet() or leHiMarkNet() Thanks
↧
Forum Post: RE: bindkey to toggle between leHiMarkNet() and leHiUnmarkNet()
↧
Forum Post: RE: low pass filter in viva/calculator
Ben, Ashwath, Just checking that you're using the latest version of the code (it has version number 1.5 in the code - and was updated in July 2016). I updated the code so that the offset was much better behaved compared with the original version. I suspect if you are using that and it still has a problem (particularly with a short time window), it may be due to numerical inaccuracy - especially if you end up interpolating between simulated points in the results. Maybe a picture of the original waveform and the moving average would help clarify what the problem is (also please confirm which version you're using)? Regards, Andrew
↧
↧
Forum Post: How to add Mechanical Symbol to Drill Chart?
I have a mechanical symbol for a #4 hole non plated mounting hole. After I'm done routing I added them by going to Place > Mechanical Symbol as needed and created the drill chart table. However, I can't see the mechanical holes on the chart, only the holes from vias or components. How can I add the mechanical mounting holes to the drill chart?
↧
Forum Post: RE: fork (or run view() on different thread)
Matthias, If you're running in a child virtuoso (you have to be a bit careful about this because of things like the library manager interfering), it won't take an additional license since most of the interactive tool licenses are "UHD" licenses (i.e. the same user, host, display combination shares the license - you can run it as many times as you like and it only uses a single license). Probably a bit excessive just to get a tailing log file though... Regards, Andrew.
↧
Forum Post: RE: How to add Mechanical Symbol to Drill Chart?
Make sure that the symbol has a padstack. A padstack can be a mechanical drill (non-plated or plated) but it won't appear in the drill chart if no padstack is present.
↧
↧
Forum Post: Drill_Fab Artwork \ Gerber is Blank
Hi All I'm generating the artfile \ Gerber for a single layer board. When I import the created art file to Allegro, All the gerber films are displaying correct except the drill art work which is blank ( only outline is showing). The details are given below. Tool - 16.6 version. Gerber - RS274X Undefined line width - 0.1mm Visible layers in drill artwork. -> Board Geometry -> outline and Dimension Manufacture -> NCDRILL_LEGEND, NCDRILL_FIGURE, PHOTOPLOT_OUTLINE, NCLEGEND1-1, NCLEGEND2-2. Let me know if I'm missing anything. PS: On Board file, the drill chart , drill location and dimensions are visible for DRILL_FAB Film.
↧
Forum Post: RE: low pass filter in viva/calculator
Hi Andrew, I am using version 1.5: SCCS Info: @(#) abMovingAvg.il 07/17/16.15:14:54 1.5 I am using the moving average filter to removed the excessive spikes (glitches) in the waveform but its adding a dc offset to the steady state value when the signal is flat. for above plot, time window = 40ps gain = 1. To debug I tried it on a flat power supply voltage also, Vavcc = 0.88. With time window: 100ns -> MA voltage = 4uV error With time window: 1ns -> MA voltage = 440uV error With time window: 40ps -> MA voltage = 11mV error Regards Ben
↧
Forum Post: RE: low pass filter in viva/calculator
Ben, Would it be possible for you to create a case via support.cadence.com and provide the result database so that I can take a look? (if you do this, please ask for the AE to contact me internally). Then I can find out the root cause and whether it's something to do with accuracy or the calculator function itself. Regards, Andrew.
↧
Forum Post: RE: same value for a RANDC variable of base class in extended class objects
Hi StephenH, Sorry for the wrong code. Somehow i might've missed while i was experimenting the code in my local system. Thanks for your explanation for inheritance, clearing my assumption in multiple objects of extended classes. Also i think, Static variable may not give unique values for multiple objects, as memory is shared. Anyway thanks , i've built a workaround for my requirement.
↧
↧
Forum Post: RE: Drill_Fab Artwork \ Gerber is Blank
The undefined line width setting is based on a film name by film name so select the drill artwork film name and make sure the undefined line width setting is actually set to something more than zero.
↧
Forum Post: NCELAB WOUPSR errors
I have a UVM testbench which gives the following errors when I compile: $cast(arg, tr); // Need run-time casting because at compile time T1 can be scalar. | ncelab: *E,WOUPSR (/vl/edatools/extern/Cadence/INCISIV/15.2/087/tools/methodology/UVM/CDNS-1.1d/sv/src/tlm1/uvm_tlm_ifs.svh,229|12): A reference to an entire unpacked struct/union is not permitted in this context [SystemVerilog]. However, the code it points to is in the UVM base class library that comes with the installation. Clearly the problem is not with the uvm base class library, but the code in my testbench that calls the function. Is there any way I can get Incisive to be more verbose in reporting the error and point me to the root cause of the problem in my testbench code?
↧
Forum Post: How to follow a wire in the layout?
I have a mixed-mode layout designed by someone else and want to track the digital clock routing path in the Layout GXL (metal layer changes in the path). I open the layout VIA the schematic window but when I click on the wire, it doesn't highlight the corresponding metals in the layout. Hence, I should follow them physically which is time consuming and prone to mistakes. Is there a straightforward way to follow a track in the layout (e.g. highlighting the selected node like what we do in schematic view/net highlighting). If not available, can I manually highlight a metal path in the layout such that I can go back and forth to double check the path I was following? I am using the following versions and sub-versions: virtuoso version 6.1.6-64b sub-version IC6.1.6-64b.500.6 Thanks!
↧
Forum Post: RE: NCELAB WOUPSR errors
Unfortunately this is as much information as you can get for that exact error (I feel your pain, I've hit it a few times myself). The problem is caused by a multi-language TLM extension that is in the Incisive bundled version of UVM. Look in your code for any place where an unpacked struct is used with any kind of TLM port. If you know the code it shouldn't be too hard to find. You might also try adding +define+ML_UVM_DISABLE to your compilation command; this turns off the multi-language TLM extensions in UVM. If that doesn't help, try to find the offending struct and replace it with (or wrap it with) a class.
↧
↧
Forum Post: save the highlighted net to another layout
Hi all I want to save the highlighted net to a new layout view. I found that leHiSaveAllHighLightMarkNet() can save the marked net to a new layout view, but it only saves the metals and poly, it does not save metal vias. I wonder if I miss something here. Thanks
↧
Forum Post: RE: NCELAB WOUPSR errors
Ok, I found it and changed to a packed struct which fixed the problem. Thanks for your help.
↧
Forum Post: RE: save the highlighted net to another layout
While you are in markNet mode, press F3 and enable retain via information for saving.
↧
Forum Post: residual cdf parameters after switching lib
hi exports, I have a question about cadence. say we have two sets of libraries. libA has full set parameters for the cells while libB has less parameters for the same cells. once the design switched from libA to libB, the instances in the schematic would keep obsolete parameters from libA, even it's now associated with libB. how can we easily flush the obsolete parameters out (although I know I can always manually replace all the instances from the new lib). thanks, David
↧
↧
Forum Post: VCD FIle Input to ADE-L
I'm trying to use a .vcd file as an input to my ADE-L and using Spectre as a simulator. I opened Setup -> Simulation Files and put the .vcd file in the path. Questions: 1.) Will Spectre work as a simulator for this case or do I have to switch to AMS? 2.) I know I need to include a VCD info file but how do you generate it? I used ncverilog to generate the .vcd file. 3.) How do you avoid warnings when using a net name hanging (which has the same signal name as the input so the stimulus is given properly)? Or is that taken care of when you netlist? Thank you! These are rookie questions since I cannot find a clear tutorial online or example to do this. Al
↧
Forum Post: RE: Drill_Fab Artwork \ Gerber is Blank
Hi Steve. Tried by giving 0.2mm for undefined line width and 2.54 for shape bonding box. Still only getting the outline in artwork. Have attached the screen shot for reference.
↧
Forum Post: RE: Drill_Fab Artwork \ Gerber is Blank
I notice that you have a PHOTOPLOT_OUTLINE. Make sure that this is large enough for the design you have. If you have one of these only items within the boundary of this will be included in your artworks.
↧