Quantcast
Channel: Cadence Technology Forums
Viewing all articles
Browse latest Browse all 62779

Forum Post: RE: run_phase doesn't update SV interface signals

$
0
0
The problem is that you have defined the driver class, but you haven't instantiated it inside of anything. I suspect you'll want to instantiate it inside your env class. Here is some code from a small testcase: class uvc_agent extends uvm_agent; uvc_driver driver; `uvm_component_utils_begin(uvc_agent) `uvm_field_object(driver,UVM_DEFAULT) `uvm_component_utils_end function new(string name = "uvc_agent", uvm_component parent = null); super.new(name, parent); endfunction : new virtual function void build_phase(uvm_phase phase); super.build_phase(phase); driver = uvc_driver::type_id::create("driver", this); end endfunction : build_phase There is a lot more to do to build a real UVC. You may want to invest in a book like "A Practical Guide to Adopting the Universal Verification Methodology", a book which I reference often.

Viewing all articles
Browse latest Browse all 62779

Trending Articles